跨境派

跨境派

跨境派,专注跨境行业新闻资讯、跨境电商知识分享!

当前位置:首页 > 工具系统 > 建站工具 > 【数字IC设计】Centos 7桌面版 安装Synopsys EDA Tools

【数字IC设计】Centos 7桌面版 安装Synopsys EDA Tools

时间:2024-03-30 07:55:45 来源:网络cs 作者:康由 栏目:建站工具 阅读:

标签: 安装  桌面  设计  数字 

一、软件下载(8G左右)

百度云盘链接分享:

链接:https://pan.baidu.com/s/1gRUoIRvJW1fPFxiQwwRn7g 
提取码:z2w3

二、Synopsys EDA Tools简述

这篇文章讲述了如何在centos7.9系统上安装Synopsys公司的VCS2016、Verdi2016、Design complier2016、Primetime2016、Formality2015、SpyGlass2016软件和基本的环境配置,安装中参考了 WeelCJ博主的Ubuntu环境安装方法,并结合centos环境做出的一部分调整,从而顺利安装,感谢 WeelCJ博主的好文章。

传送门:数字IC设计的第一步——Synopsys EDA Tools的安装_synopsys dve_WeelCJ的博客-CSDN博客

三、EDA安装环境:

centos7.9.2009桌面版

安装所需文件:

DesignComplier2016

        简称DC,是Synopsys公司用于做电路综合的核心工具,可以将HDL描述的电路转换为基于工艺库的门级网表。可参考:Design Compiler入门 - 知乎

Formality2015

        Synopsys形式验证工具Formality可以对时序重定的设计进行验证。

Installer3.2:

        Synopsys官方的安装软件,以上软件除SpyGlass外,均用它安装

Scl11.9

        统一管理license等文件

License

        用来生成license的文件

PrimeTime2016

        是Synopsys的签约级、全芯片、门级静态时序分析工具

Vcs2016

        是一个编译型的代码仿真器,它使你能够分析,编译和仿真Verilog,VHDL,混合HDL,SystemVerilog,OpenVera和SystemC描述的设计。 它还为您提供了一系列仿真和调试功能,以验证您的设计。 这些功能提供了源码调试和仿真结果查看功能。

Verdi2016

        一个功能强大的debug工具,可以配合不同的仿真软件进行debug。常用VCS+Verdi的方式进行代码的仿真与检查

SpyGlass2016

        有五大模块: lint, CDC(多时钟域检查), LP(低功耗),Constraint(约束),DFT(可测试性),是很强大的RTL验证级工具。

安装软件的顺序:

Scl11.9 —— Vcs2016 —— Verdi2016 —— DesignComplier2016 —— Primetime2016 —— Formality2015

这个非常重要!!!

四、进入正片:用Synopsys Installer安装

1.安装前准备

        ①系统centos7.9桌面 提前安装好

        ②下载安装包并解压(我放在/home/EDA/Synopsys下)

 2.安装软件

进入Installer3.2路径下,执行SynopsysInstaller_v3.2.run

cd Installer3.2chmod 777 SynopsysInstaller_v3.2.run./SynopsysInstaller_v3.2.run回车

得到我们想要的安装文件setup.sh

Scl11.9 —— Vcs2016 —— Verdi2016 —— DesignComplier2016 —— Primetime2016 —— Formality2015

安装Scl11.9

#新建scl目录mkdir -p /home/synopsys/scl11.9#开始安装scl./setup.sh -install_as_root

弹出安装界面

 自定义(luhuai)

 

 

 

 

 

 

 

 

 

 

安装完成的 scl 目录 

Scl11.9 —— Vcs2016 —— Verdi2016 —— DesignComplier2016 —— Primetime2016 —— Formality2015

安装Vcs2016

#新建vcs目录mkdir -p /home/synopsys/vcs2016#开始安装vcscd /home/EDA/Synopsys/Installer3.2./setup.sh -install_as_root

弹出安装界面

 自定义(luhuai)

 

 

 

 

 

 

 

 稍作等待!安装时间长一点

 

 

 完成安装!

安装完成的 Vcs2016目录 

Scl11.9 —— Vcs2016 —— Verdi2016 —— DesignComplier2016 —— Primetime2016 —— Formality2015

安装Verdi2016

#新建verdi目录mkdir -p /home/synopsys/verdi2016#开始安装verdicd /home/EDA/Synopsys/Installer3.2./setup.sh -install_as_root

弹出安装界面

 自定义(luhuai)

 

 

 

 

 

 

 

 稍作等待,安装时间长一点

 

安装完成的 verdi目录 

 

Scl11.9 —— Vcs2016 —— Verdi2016 —— DesignComplier2016 —— Primetime2016 —— Formality2015

安装DesignComplier2016

#新建DC目录mkdir -p /home/synopsys/DC2016#开始安装DCcd /home/EDA/Synopsys/Installer3.2./setup.sh -install_as_root

弹出安装界面

 自定义(luhuai)

 

 

 

 

 

 

 

安装完成的 DC2016目录 

 

Scl11.9 —— Vcs2016 —— Verdi2016 —— DesignComplier2016 —— Primetime2016 —— Formality2015

安装Primetime2016

#新建Primetime目录mkdir -p /home/synopsys/PT2016#开始安装Primetime./setup.sh -install_as_root

弹出安装界面

 自定义(luhuai)

 

 

 

 

 

 

 

 

 

 后续点击[Finish]---[Dismiss],完成安装

安装完成的 Primetime2016目录 

 Scl11.9 —— Vcs2016 —— Verdi2016 —— DesignComplier2016 —— Primetime2016 —— Formality2015

安装Formality2015

#新建Formality目录mkdir -p /home/synopsys/formality2015#开始安装Formality./setup.sh -install_as_root

弹出安装界面

 自定义(luhuai)

 

 

 

 

 

 

 

 

 

 

 

 安装完成的 Formality2015目录

软件安装完成---> EDA工具的安装目录

生成License文件 

查看linux系统的主机名和网卡eth0的mac地址

hostnameifconfig eth0 | grep -o -E ‘([[:xdigit:]]{1,2}:){5}[[:xdigit:]]{1,2}’

 

得到

cim1

00155d64190f

启动scl_keygen生成器

在Windows系统上操作
打开scl_keygen文件夹下的scl_keygen.exe文件

 

 需要填写这3项信息,

HOST Name,填写主机名: cim1

HOST ID DaemonHOST ID Feature填写mac地址: 00155d64190f

 点击Generate生成License

修改Synopsys.sat文件

 在scl_keygen目录下,用记事本打开Synopsys.sat文件

 修改第二行,在snpslmd 后添加scl11.9安装目录的snpslmd存放路径

snpslmd存放路径如下:

 

 保存

将Synopsys.dat文件放到linux系统的“/home/synopsys/scl11.9/admin/license"目录下

 同时,将Synopsys.dat文件也放到verdi2016安装目录的license文件夹下

 

 设置环境变量

进入/root下,编辑.bashrc文件,添加EDA工具路径

cd  /rootvim .bashrc
#dveexport PATH=$PATH:/home/synopsys/vcs2016/gui/dve/bin #vcs2016的安装路径alias dve="dve -full64" #VCSexport PATH=$PATH:/home/synopsys/vcs2016/bin     #vcs2016的安装路径alias vcs="vcs -full64 -cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,--no-as-needed" #vcs需要的固定的参数,注意系统要安装 gcc-4.8 和 g++-4.8,这两个需要自己独立安装export VCS_ARCH_OVERRIDE=linux                   #加这个可以说明 VCS 也支持 2.*以上的内核                        #VERDIexport PATH=$PATH:/home/synopsys/verdi2016/bin    #verdi2016的安装路径export VCS_HOME=/home/synopsys/vcs2016export VERDI_HOME=/home/synopsys/verdi2016export NOVAS_HOME=/home/synopsys/verdi2016 #LICENCEexport SNPSLMD_LICENSE_FILE=27000@cim1             #主机名hostnameexport LM_LICENSE_FILE=/home/synopsys/scl11.9/admin/license/Synopsys.dat        # license文件存放的位置alias lmg_scl="lmgrd -c /home/synopsys/scl11.9/admin/license/Synopsys.dat" #sclexport PATH=$PATH:/home/synopsys/scl11.9/linux/bin    #scl11.9的安装路径#Design Compalierexport SYNOPSYS=/home/synopsys/DC2016           #DC2016的安装路径export PATH=$PATH:/home/synopsys/DC2016/bin     export DC_HOME=/home/synopsys/DC2016alias dc="dc_shell"alias dv="design_vision"#Primetimeexport PATH=$PATH:/home/synopsys/PT2016/bin     #PT2016的安装路径export PT_HOME=/home/synopsys/PT2016alias pt="primetime"#Formalityexport PATH=$PATH:/home/synopsys/formality2015/bin     #formality2015的安装路径export FM_HOME=/home/synopsys/formality2015alias fm="formality"

注意:以上路径 按照自己安装软件的实际路径更改!!!

环境变量生效

source .bashrc

激活License

开放27000端口:

firewall-cmd --zone=public --add-port=27000/tcp --permanentfirewall-cmd --reload

 在终端输入,准备激活

lmg_scl

出现报错:

bash: ..../lmgrd: /lib/ld-lsb.so.3: bad ELF interpreter: 没有那个文件或目录

 解决: yum install redhat-lsb.i686

稍等片刻

再次激活

lmg_scl

 出现以下输出,代表License激活成功

 ps(若激活中出现新的报错,参考WeelCJ大佬的License篇,再次感谢!!!)
数字IC设计的第一步——Synopsys EDA Tools的安装_synopsys dve_WeelCJ的博客-CSDN博客

软件测试

1.DesignComplier2016

终端输入dc

出现报错,libXss.so.1

查看libXss.so.1属于哪个包:repoquery --nvr --whatprovides libXss.so.1

repoquery --nvr --whatprovides libXss.so.1yum installl libXScrnSaver*

 接着输入dc,又出现一个错误,关于libmng.so.1

 yum install libmng.x86_64 -y

再次在终端输入dc

CTRL+z 退出

 再检验dv,即dc的图形界面,成功!

终端输入dv

2.Primetime2016

终端输入pt

3.Formality2015

给Formality2015添加工作目录,设置读写权限

mkdir /home/FM-programchmod 777 /home/FM-programfm -work_path /home/FM-program/

每次使用Formality2015 ,在/home/FM-program/下,终端输入fm打开

cd /home/FM-program/fm

4.Vcs2016

终端输入vcs

 在终端输入dve,vcs的图形界面打开!

5.Verdi2016

终端输入verdi

安装SpyGlass2016

 

 运行SpyGlass-Install.sh文件

sh  SpyGlass-Install.sh

一路 y

稍等片刻!

 配置环境变量

vim /root/.bashrc

#SPYGLASS2016export SPYGLASS_HOME=/home/EDA/Synopsys/SpyGlass2016/y/SpyGlass-L2016.06/SPYGLASS_HOMEexport PATH=$PATH:$SPYGLASS_HOME/binexport SPYGLASS_DC_PATH=/home/synopsys/DC2016        #DesignComplier2016的安装路径alias sg="spyglass"

source .bashrc

替换check.linux4文件

SpyGlass_vL_201606_crack文件夹的check.linux4文件复制换到 ..../SPYGLASS_HOME/obj/ 里面的check.linux4

测试

在终端输入sg

安装完成!

五、普通用户如何使用软件?

普通用户:tang

一、普通用户授予 root 权限

二、将root用户下的环境变量copy一份即可!

本文链接:https://www.kjpai.cn/news/2024-03-30/150663.html,文章来源:网络cs,作者:康由,版权归作者所有,如需转载请注明来源和作者,否则将追究法律责任!

版权声明:本文内容由互联网用户自发贡献,该文观点仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌抄袭侵权/违法违规的内容,一经查实,本站将立刻删除。

文章评论